2010-01-16から1日間の記事一覧

プログラム@マクドナルド

趣味プログラム中...。 部屋でプログラムを書こうと思ったのだけど寒すぎて指先が動かないのでマクドナルドに移動。*1 初マックカフェでカフェラテ注文。 *1:暖房が全く効かない…

符号ビットを頭に詰める話

続けてVHDLの話。 例えば符号付き4bitの値を符号付き8bitに広げる場合、 signal data : std_logic_vector(3 downto 0); signal data2 : std_logic_vector(7 downto 0); process(....) variable tmp : std_logic_vector(7 downto 0); begin tmp := data(3) & …