2010-01-01から1ヶ月間の記事一覧

辛そうで辛くない少し辛いラー油

昨日購入。 品薄状態が続いている桃屋の「辛そうで辛くない少し辛いラー油」を食べてみました - GIGAZINEはてなブックマーク - 品薄状態が続いている桃屋の「辛そうで辛くない少し辛いラー油」を食べてみました - GIGAZINE

マリオWii終了

id:its-tomの家でちびちび進めていたマリオWii、昨日無事クリア。 といっても、ほとんど私は邪魔したり、落ちそうになったら風船になったりしていたらクッパ城を突破していた...。 イメージ的にはCMの松嶋さんである。 ま、事情ともあれクリアしたゲームが15…

386

前記事書いた直後に「386」ってなんですか?って聞かれたので…。 Wikipedia参照 → Intel 80386 パトリオット・ミサイルの地上装置に2006年現在使われていて、製造されているという記述があって驚愕。

Wirelessgate@マクドナルド

2ヶ月無料ということもあったので試しに使ってみているのだけど、近所のマックでも使えて非常に便利。 ただ、どうもマクドナルドだと付近にDSを持った子供がいると途端に繋がらなくなる。 子供が近寄ってくる直前でping打ってたら側に来た途端に PING www.ya…

iPad搭載のプロセッサA4の件

iPadそのものの話題は、blogやニュースサイトにたくさん載っていますので省略。 私自身は実機持ってみないと、ちょっと欲しくなるかわからないないなぁ。 天邪鬼(あまのじゃく)なので別の話題をば。 本家サイトにA4プロセッサの写真が載っていますが(写真)見…

丁寧すぎ

入り口に「お」をつけるか...。

Hadoopのコマンドメモ

2週間触っていなかったら忘却である。情けない…。 すぐ忘れるのでメモしておく。特に頭から抜けていたものだけ。逐次記事更新予定。 HDFS操作関連 ローカルからHDFSへのファイル転送 $ hadoop dfs -put (ローカルファイル名) (HDFS転送先) HDFSからHDFSへの…

レビューの反映

3年に一度程度、DLLファイルやヘッダファイルを渡されて、これを使って◯◯するプログラムを・・・。という仕事が生じるのだが、久しぶりにイベント発生。 VC++なんぞすっかり忘れたぞと思いながら、MFCをフル活用なDLLを生かすべくプログラムを書く。 とりあ…

ニコルズさん

id:its-tomが19日blogに書いてたアーティストの方。 iTunesでどーんと出てきて驚いた。

連れてって 連れてって

ドリカムの曲ですね。 途中で出てくる二人組がオードリーだというのを友達に言われて見てみた。こういう細かいところ気づく奴だ。 あ、ちなみにYoutubeでは最初の3分間だけですが、完全なPVを見ると最後の方に二人はもっとたくさん出てます。 ちなみにこの曲…

最近読んでいる本

スティーブ・ジョブズとスタジオジブリ好きな人間なので、この様な本を読んでみた。 メイキング・オブ・ピクサー―創造力をつくった人々作者: デイヴィッド A.プライス,櫻井祐子出版社/メーカー: 早川書房発売日: 2009/03/20メディア: 単行本購入: 53人 クリ…

2009年検索語ランキング

2008年までは上半期とかやっていたのだが、2009年はひとまとめで集計してみた。 1位 kcaptcha 99回 2位 processing 入門 84回 3位 timinganalyzer 71回 4位 shortcut.js 43回 5位 vhdl 乗算 41回 KCAPTCHAの記事は2007年に書いたのですが、今年もよく見てい…

プログラム@マクドナルド

趣味プログラム中...。 部屋でプログラムを書こうと思ったのだけど寒すぎて指先が動かないのでマクドナルドに移動。*1 初マックカフェでカフェラテ注文。 *1:暖房が全く効かない…

符号ビットを頭に詰める話

続けてVHDLの話。 例えば符号付き4bitの値を符号付き8bitに広げる場合、 signal data : std_logic_vector(3 downto 0); signal data2 : std_logic_vector(7 downto 0); process(....) variable tmp : std_logic_vector(7 downto 0); begin tmp := data(3) & …

Xilinx ISE 9.x で_pn.exeというプロセスが滞る件

表題の通り。 全くもって謎なのだが、論理合成やISE Simulatorでシミュレーションを数回繰り返しているとISEが作る_pn.exeというプロセスが肥大化した後、ISEの操作が進まなくなる。*1 (アプリが固まるのではなくボタン押す操作やすでに開いているウインド…

送信箱を振り返る

gmailの送信箱を読み返していたら20分過ぎていた。 一応個人的なメールしかないので、受信箱は通販の広告メールやら技術系のメーリングリストのメールやらいろいろあるのだが、送信箱は自分が書いて送ったメールだけなのでいろいろ思い出す。 年に数回、人の…

カレーライス

新年会ですっかり二日酔いなのでカレーライスを作った。 夕方まですっかり胃が参ってて水以外飲み食いできなかったのだけど、回復してきたしカレーなら大丈夫そうだったので。*1 スーパーでグリコの「二段熟カレー」を買ったのだが、箱の中のカレールーの形…

槇原敬之のベストアルバムが買えない

iTunesで買おうとしたんだけど、購入のボタンが無い。試聴とかはできるんだけど。 googleで調べてみたけど、特に見つからなかったのだけど。 iTunesの設定の問題なのか、ストア側の問題なのか切り分けできない。謎。

コマンドラインでJavaプログラムを実行する際のプロキシ指定

JavaでHTTPコネクション(net.HttpClientとか)を利用したコマンドラインプログラムを実行する際、実行する環境から外部サーバ等へのアクセスがHTTPの場合プロキシの設定が必要な場合がある。 プログラム中で設定してもよいのだけど、特に設定をしていなかった…

けふのひとこと

明けましておめでとうございました。 今日から通常営業です。